Full Name
Han Genquan
(not current staff)
Variants
Han, G.-Q.
Han, G.
 
 
 
Email
elehg@nus.edu.sg
 

Publications

Refined By:
Date Issued:  [2010 TO 2020]
Author:  Yang, Y.
Author:  Han, G.
Author:  Gong, X.

Results 1-17 of 17 (Search time: 0.005 seconds).

Issue DateTitleAuthor(s)
12013(110)-oriented germanium-tin (Ge0.97Sn0.03) P-channel MOSFETsZhan, C.; Wang, W.; Gong, X.; Guo, P.; Liu, B.; Yang, Y.; Han, G. ; Yeo, Y.-C. 
22012Fabrication and negative bias temperature instability (NBTI) study on Ge0.97Sn0.03 P-MOSFETs with Si2H6 passivation and HfO2 High-k and TaN metal GateGong, X.; Su, S.; Liu, B.; Wang, L.; Wang, W.; Yang, Y.; Cheng, R. ; Kong, E.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
328-Jul-2013Ge0.97Sn0.03 p-channel metal-oxide-semiconductor field-effect transistors: Impact of Si surface passivation layer thickness and post metal annealingGuo, P.; Han, G. ; Gong, X.; Liu, B.; Yang, Y.; Wang, W.; Zhou, Q. ; Pan, J.; Zhang, Z.; Soon Tok, E. ; Yeo, Y.-C. 
42013Germanium-Tin (GeSn) N-channel MOSFETs with low temperature silicon surface passivationGuo, P.; Zhan, C.; Yang, Y.; Gong, X.; Liu, B.; Cheng, R. ; Wang, W.; Pan, J.; Zhang, Z.; Tok, E.S. ; Han, G. ; Yeo, Y.-C. 
52013Germanium-tin (GeSn) p-channel MOSFETs fabricated on (100) and (111) surface orientations with Sub-400 °cSi2H6 passivationGong, X.; Han, G. ; Bai, F.; Su, S.; Guo, P.; Yang, Y.; Cheng, R. ; Zhang, D.; Zhang, G.; Xue, C.; Cheng, B.; Pan, J. ; Zhang, Z.; Tok, E.S. ; Antoniadis, D.; Yeo, Y.-C. 
62012Germanium-tin + junction formed using phosphorus ion implant and 400 °c rapid thermal annealWang, L.; Su, S.; Wang, W.; Yang, Y.; Tong, Y.; Liu, B.; Guo, P.; Gong, X.; Zhang, G.; Xue, C.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
72012High hole mobility in strained germanium-tin (GeSn) channel pMOSFET fabricated on (111) substrateHan, G. ; Su, S.; Yang, Y.; Guo, P.; Gong, X.; Wang, L.; Wang, W.; Guo, C.; Zhang, G.; Xue, C.; Cheng, B.; Yeo, Y.C. 
82012High performance Ω-gate Ge FinFET featuring low temperature Si 2H 6 passivation and implantless Schottky-barrier NiGe metallic source/drainLiu, B.; Gong, X.; Han, G. ; Lim, P.S.Y.; Tong, Y.; Zhou, Q. ; Yang, Y.; Daval, N.; Pulido, M.; Delprat, D.; Nguyen, B.-Y.; Yeo, Y.-C. 
92012High-performance germanium ω-Gate MuGFET with schottky-barrier nickel germanide source/drain and low-temperature disilane-passivated gate stackLiu, B.; Gong, X.; Han, G. ; Lim, P.S.Y.; Tong, Y.; Zhou, Q. ; Yang, Y.; Daval, N.; Veytizou, C.; Delprat, D.; Nguyen, B.-Y.; Yeo, Y.-C. 
102012(NH4)2S passivation for high mobility germanium-Tin (GeSn) p-MOSFETsWang, L.; Su, S.; Wang, W.; Gong, X.; Yang, Y.; Guo, P.; Zhang, G.; Xue, C.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
112012Strained germanium-tin (GeSn) N-channel MOSFETs featuring low temperature N +/P junction formation and GeSnO 2 interfacial layerHan, G. ; Su, S.; Wang, L.; Wang, W.; Gong, X.; Yang, Y.; Ivana; Guo, P.; Guo, C.; Zhang, G.; Pan, J.; Zhang, Z.; Xue, C.; Cheng, B.; Yeo, Y.-C. 
122013Strained germanium-tin (GeSn) p-channel metal-oxide-semiconductor field-effect-transistors (p-MOSFETs) with ammonium sulfide passivationWang, L.; Su, S.; Wang, W.; Gong, X.; Yang, Y.; Guo, P.; Zhang, G.; Xue, C.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
132013Sub-400 °C Si2H6 passivation, HfO2 gate dielectric, and single TaN metal gate: A common gate stack technology for In0.7Ga0.3As and Ge1-xSnx CMOSGong, X.; Han, G. ; Liu, B.; Wang, L.; Wang, W.; Yang, Y.; Kong, E.Y.-J.; Su, S.; Xue, C.; Cheng, B.; Yeo, Y.-C. 
142012Tin-incorporated source/drain and channel materials for field-effect transistorsYeo, Y.-C. ; Han, G. ; Gong, X.; Wang, L.; Wang, W.; Yang, Y.; Guo, P.; Liu, B.; Su, S.; Zhang, G.; Xue, C.; Cheng, B.
152012Towards direct band-to-band tunneling in P-channel tunneling field effect transistor (TFET): Technology enablement by Germanium-tin (GeSn)Yang, Y.; Su, S.; Guo, P.; Wang, W.; Gong, X.; Wang, L.; Low, K.L.; Zhang, G.; Xue, C.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
162012Towards high performance Ge 1-xSn x and In 0.7Ga 0.3As CMOS: A novel common gate stack featuring sub-400°C Si 2H 6 passivation, single TaN metal gate, and sub-1.3 nm EOTGong, X.; Su, S.; Liu, B.; Wang, L.; Wang, W.; Yang, Y.; Kong, E.; Cheng, B.; Han, G. ; Yeo, Y.-C. 
172013Uniaxially strained germanium-tin (GeSn) gate-all-around nanowire PFETs enabled by a novel top-down nanowire formation technologyGong, X.; Han, G. ; Su, S.; Cheng, R. ; Guo, P.; Bai, F.; Yang, Y.; Zhou, Q. ; Liu, B.; Goh, K.H.; Zhang, G.; Xue, C.; Cheng, B.; Yeo, Y.-C.