Full Name
Zhou Qian
(not current staff)
Variants
Zhou, Q.
 
 
 
Email
elezhou@nus.edu.sg
 
Other emails
 

Publications

Refined By:
Department:  COLLEGE OF DESIGN AND ENGINEERING
Date Issued:  [2010 TO 2013]

Results 1-20 of 35 (Search time: 0.009 seconds).

Issue DateTitleAuthor(s)
12013A new expandible ZnS-SiO2 liner stressor for n-channel FinFETsDing, Y.; Tong, X.; Zhou, Q. ; Liu, B.; Gyanathan, A.; Tong, Y.; Yeo, Y.-C. 
22011A new Ge 2Sb 2Te 5 (GST) liner stressor featuring stress enhancement due to amorphous-crystalline phase change for sub-20 nm p-channel FinFETsDing, Y.; Cheng, R. ; Koh, S.-M.; Liu, B.; Gyanathan, A.; Zhou, Q. ; Tong, Y.; Lim, P.S.-Y.; Han, G. ; Yeo, Y.-C. 
32012A self-aligned Ni-InGaAs contact technology for InGaAs channel n-MOSFETsZhang, X.; Ivana; Guo, H.X.; Gong, X.; Zhou, Q. ; Yeo, Y.-C. 
42013Asymetrically strained high performance Germanium gate-all-around nanowire p-FETs featuring 3.5 nm wire width and contractible phase change liner stressor (Ge2Sb2Te5)Cheng, R. ; Liu, B.; Guo, P.; Yang, Y.; Zhou, Q. ; Gong, X.; Dong, Y.; Tong, Y.; Bourdelle, K.; Daval, N.; Delprat, D.; Nguyen, B.-Y.; Augendre, E.; Yeo, Y.-C. 
52011Co-InGaAs as a novel self-aligned metallic source/drain material for implant-less In 0.53Ga 0.47As n-MOSFETsIvana; Subramanian, S.; Kong, E.Y.-J.; Zhou, Q. ; Yeo, Y.-C. 
6Dec-2012CoInGaAs as a novel self-aligned metallic source/drain material for implant-less In 0.53Ga 0.47As n-MOSFETsIvana; Kong, E.Y.-J.; Subramanian, S.; Zhou, Q. ; Pan, J.; Yeo, Y.-C. 
72013Contact resistance reduction for strained N-MOSFETs with silicon-carbon source/drain utilizing aluminum ion implant and aluminum profile engineeringZhou, Q. ; Koh, S.-M.; Thanigaivelan, T.; Henry, T.; Yeo, Y.-C. 
8Jan-2013Crystal structure and epitaxial relationship of Ni4InGaAs 2 films formed on InGaAs by annealingIvana; Foo, Y.L.; Zhang, X.; Zhou, Q. ; Pan, J.; Kong, E.; Owen, M.H.S.; Yeo, Y.-C. 
9May-2012Dopant segregation and nickel stanogermanide contact formation on p +Ge 0.947Sn 0.053 source/drainHan, G. ; Su, S.; Zhou, Q. ; Guo, P.; Yang, Y.; Zhan, C.; Wang, L.; Wang, W.; Wang, Q.; Xue, C.; Cheng, B.; Yeo, Y.-C. 
1028-Jul-2013Ge0.97Sn0.03 p-channel metal-oxide-semiconductor field-effect transistors: Impact of Si surface passivation layer thickness and post metal annealingGuo, P.; Han, G. ; Gong, X.; Liu, B.; Yang, Y.; Wang, W.; Zhou, Q. ; Pan, J.; Zhang, Z.; Soon Tok, E. ; Yeo, Y.-C. 
112013Germanium multiple-gate field-effect transistor with in situ boron-doped raised source/drainLiu, B.; Zhan, C.; Yang, Y.; Cheng, R. ; Guo, P.; Zhou, Q. ; Kong, E.Y.-J.; Daval, N.; Veytizou, C.; Delprat, D.; Nguyen, B.-Y.; Yeo, Y.-C. 
122013High performance Ge CMOS with novel InAlP-passivated channels for future sub-10 nm technology node applicationsLiu, B.; Gong, X.; Cheng, R. ; Guo, P.; Zhou, Q. ; Owen, M.H.S.; Guo, C.; Wang, L.; Wang, W.; Yang, Y.; Yeo, Y.-C. ; Wan, C.-T.; Chen, S.-H.; Cheng, C.-C.; Lin, Y.-R.; Wu, C.-H.; Ko, C.-H.; Wann, C.H.
132012High performance Ω-gate Ge FinFET featuring low temperature Si 2H 6 passivation and implantless Schottky-barrier NiGe metallic source/drainLiu, B.; Gong, X.; Han, G. ; Lim, P.S.Y.; Tong, Y.; Zhou, Q. ; Yang, Y.; Daval, N.; Pulido, M.; Delprat, D.; Nguyen, B.-Y.; Yeo, Y.-C. 
142011High-mobility germanium-tin (GeSn) P-channel MOSFETs featuring metallic source/drain and sub-370°C process modulesHan, G. ; Su, S.; Zhan, C.; Zhou, Q. ; Yang, Y.; Wang, L.; Guo, P.; Wei, W.; Wong, C.P.; Shen, Z.X.; Cheng, B.; Yeo, Y.-C. 
152012High-performance germanium ω-Gate MuGFET with schottky-barrier nickel germanide source/drain and low-temperature disilane-passivated gate stackLiu, B.; Gong, X.; Han, G. ; Lim, P.S.Y.; Tong, Y.; Zhou, Q. ; Yang, Y.; Daval, N.; Veytizou, C.; Delprat, D.; Nguyen, B.-Y.; Yeo, Y.-C. 
16Dec-2011Impact of a germanium and carbon preamorphization implant on the electrical characteristics of NiSi/Si contacts with a presilicide sulfur implantTong, Y.; Zhou, Q. ; Chua, L.H.; Thanigaivelan, T.; Henry, T.; Yeo, Y.-C. 
172012In situ boron (B) doped germanium (Ge:B) grown on (100), (110), and (111) silicon: Crystal orientation and b incorporation effectsHan, G. ; Zhou, Q. ; Guo, P.; Wang, W.; Yang, Y.; Yeo, Y.-C. 
182011In0.7Ga0.3 as channel n-MOSFET with self-aligned Ni-InGaAs source and drainZhang, X.; Guo, H.; Gong, X.; Zhou, Q. ; Lin, Y.-R.; Lin, H.-Y.; Ko, C.-H.; Wann, C.H.; Yeo, Y.-C. 
192011In0.7Ga0.3As channel n-MOSFETs with a novel self-aligned Ni-InGaAs contact formed using a salicide-like metallization processZhang, X.; Guo, H.; Gong, X.; Zhou, Q. ; Lin, H.-Y.; Lin, Y.-R.; Ko, C.-H.; Wann, C.H.; Yeo, Y.-C. 
202013Investigation of Pd-InGaAs for the formation of self-aligned source/drain contacts in InGaAs metal-oxide-semiconductor field-effect transistorsKong, E.Y.-J.; Ivana; Zhang, X.; Zhou, Q. ; Pan, J.; Zhang, Z.; Yeo, Y.-C.