Full Name
Massimo Bruno Alioto
Variants
Alioto, Massimo Bruno
 
 
 
Email
eleamb@nus.edu.sg
 

Refined By:
Policy:  Open
Department:  ELECTRICAL AND COMPUTER ENGINEERING

Results 1-20 of 46 (Search time: 0.01 seconds).

Issue DateTitleAuthor(s)
12021300mV-Supply, sub-nW-Power Digital-Based Operational Transconductance AmplifierToledo, Pedro; Crovetti, Paolo; Klimach, Hamilton; Bampi, Sergio; Aiello, Orazio ; Alioto, Massimo 
216-Jul-202338.4-pW, 0.14-mm2 Body-Driven Temperature-to-Digital Converter and Voltage Reference with 0.6-1.6-V Unregulated Supply for Battery-Less SystemsLuigi Fassio ; Orazio Aiello ; Massimo Alioto 
321-Feb-202355-pW/pixel Peak Power Imager with Near-Sensor Novelty/Edge Detection and DC-DC Converter-Less MPPT for Purely-Harvested Sensor NodesKarim Ali Abdeltawwab Ahmed ; HAYATE OKUHARA ; Massimo Bruno Alioto 
414-Jun-2020A 0.25-V, 5.3-pW Voltage Reference with 25-μV/°C Temperature Coefficient, 140-μV/V Line Sensitivity and 2,200-μm2 Area in 180nmFassio, Luigi; LIN LONGYANG ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; ALIOTO,MASSIMO BRUNO 
52021A 0.6-to-1.8V CMOS Current Reference with Near-100% Power UtilizationFassio, Luigi ; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo 
614-Feb-2023A 3.2-pW, 0.2-V Trimming-Less Voltage Reference with 1.4-mV Across-Wafer Total AccuracyLUIGI FASSIO ; LIN LONGYANG ; Raffaele De Rose; Marco Lanuzza; Felice Crupi; Massimo Bruno Alioto 
72021A Robust Sub-Threshold, Low Power-Delay, Energy and Area Efficient Level ShifterFassio, Luigii ; Settino, Francesco; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo Bruno 
82020Approximate Multipliers with Dynamic Truncation for Energy Reduction via Graceful Quality DegradationFrustaci, Fabio; Perri, Stefania; Corsonello, Pasquale; Alioto, Massimo 
912-Dec-2022Architecture for 3D Convolutional Neural Networks Based on Temporal Similarity RemovalWATHUTHANTHRIGE UDARI CHARITHA DE ALWIS ; Massimo Bruno Alioto 
1015-Nov-2019Automated Design of Reconfigurable Microarchitectures for Accelerators under Wide Voltage ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1113-Jun-2021Battery-Less IoT Sensor Node with PLL-Less WiFi Backscattering Communications in a 2.5-µW Peak Power EnvelopeLin, Longyang ; Ahmed, Karim Ali ; Salamani, Praveen; Alioto, Massimo Bruno 
1228-Jul-2020Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning Workloads Based on Commercial BitcellSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1329-Jan-2020Deep Sub-pJ/bit Low-Area Energy-Security Scalable SIMON Crypto-CoreSACHIN TANEJA ; ALIOTO,MASSIMO BRUNO 
141-Oct-2020Deep Sub-pJ/bit Low-Area Energy-Security Scalable SIMON Crypto-Core in 40 nmALIOTO,MASSIMO BRUNO ; SACHIN TANEJA 
151-Nov-2019Drop-In Energy-Performance Range Extension in Microcontrollers Beyond VDD ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1624-Feb-2023Dual-Mode Conversion Gating, Comparator Merging, and Reference-Less Calibration for 2.7× Energy Reduction in SAR ADCs Under Low-Activity InputsKarim Ali Abdeltawwab Ahmed ; J. H. Teo ; S. Sarkar; Massimo Bruno Alioto 
1711-Jun-2023ECC-Less Multi-Level SRAM Physically Unclonable Function and 127% PUF-to-Memory Capacity Ratio with No Bitcell Modification in 28nmJoydeep Basu ; Sachin Taneja ; Viveka Konandur Rajanna ; Tianqi Wang ; Massimo Bruno Alioto 
1814-Apr-2019Enabling Ubiquitous Hardware Security via Energy-Efficient Primitives and SystemsALIOTO,MASSIMO BRUNO ; SACHIN TANEJA 
191-Jan-2020Energy-Quality Scalable Memory-Frugal Feature Extraction for Always-On Deep Sub-mW Distributed VisionANASTACIA ALVAREZ; GOPALAKRISHNAN PONNUSAMY ; ALIOTO,MASSIMO BRUNO 
2013-Apr-2020Fully Synthesizable Low-Area Analogue-to-Digital Converters with Minimal Design Effort Based on the Dyadic Digital Pulse ModulationORAZIO AIELLO ; Paolo Crovetti; ALIOTO,MASSIMO BRUNO