Please use this identifier to cite or link to this item: https://doi.org/10.3390/jlpea10040031
Title: Pkmin: Peak power minimization for multi-threaded many-core applications
Authors: Maity, A.
Pathania, A.
Mitra, T. 
Keywords: Directed acyclic task graphs
Many-core
Peak-power management
Issue Date: 2020
Publisher: MDPI AG
Citation: Maity, A., Pathania, A., Mitra, T. (2020). Pkmin: Peak power minimization for multi-threaded many-core applications. Journal of Low Power Electronics and Applications 10 (4) : 1-15. ScholarBank@NUS Repository. https://doi.org/10.3390/jlpea10040031
Rights: Attribution 4.0 International
Abstract: Multiple multi-threaded tasks constitute a modern many-core application. An accompanying generic Directed Acyclic Graph (DAG) represents the execution precedence relationship between the tasks. The application comes with a hard deadline and high peak power consumption. Parallel execution of multiple tasks on multiple cores results in a quicker execution, but higher peak power. Peak power single-handedly determines the involved cooling costs in many-cores, while its violations could induce performance-crippling execution uncertainties. Less task parallelization, on the other hand, results in lower peak power, but a more prolonged deadline violating execution. The problem of peak power minimization in many-cores is to determine task-to-core mapping configuration in the spatio-temporal domain that minimizes the peak power consumption of an application, but ensures application still meets the deadline. All previous works on peak power minimization for many-core applications (with or without DAG) assume only single-threaded tasks. We are the first to propose a framework, called PkMin, which minimizes the peak power of many-core applications with DAG that have multi-threaded tasks. PkMin leverages the inherent convexity in the execution characteristics of multi-threaded tasks to find a configuration that satisfies the deadline, as well as minimizes peak power. Evaluation on hundreds of applications shows PkMin on average results in 49.2% lower peak power than a similar state-of-the-art framework. © 2020 by the authors.
Source Title: Journal of Low Power Electronics and Applications
URI: https://scholarbank.nus.edu.sg/handle/10635/199305
ISSN: 20799268
DOI: 10.3390/jlpea10040031
Rights: Attribution 4.0 International
Appears in Collections:Staff Publications
Elements

Show full item record
Files in This Item:
File Description SizeFormatAccess SettingsVersion 
10_3390_jlpea10040031.pdf674.43 kBAdobe PDF

OPEN

NoneView/Download

Google ScholarTM

Check

Altmetric


This item is licensed under a Creative Commons License Creative Commons