Please use this identifier to cite or link to this item: https://doi.org/10.3390/jlpea10040031
DC FieldValue
dc.titlePkmin: Peak power minimization for multi-threaded many-core applications
dc.contributor.authorMaity, A.
dc.contributor.authorPathania, A.
dc.contributor.authorMitra, T.
dc.date.accessioned2021-08-25T14:07:04Z
dc.date.available2021-08-25T14:07:04Z
dc.date.issued2020
dc.identifier.citationMaity, A., Pathania, A., Mitra, T. (2020). Pkmin: Peak power minimization for multi-threaded many-core applications. Journal of Low Power Electronics and Applications 10 (4) : 1-15. ScholarBank@NUS Repository. https://doi.org/10.3390/jlpea10040031
dc.identifier.issn20799268
dc.identifier.urihttps://scholarbank.nus.edu.sg/handle/10635/199305
dc.description.abstractMultiple multi-threaded tasks constitute a modern many-core application. An accompanying generic Directed Acyclic Graph (DAG) represents the execution precedence relationship between the tasks. The application comes with a hard deadline and high peak power consumption. Parallel execution of multiple tasks on multiple cores results in a quicker execution, but higher peak power. Peak power single-handedly determines the involved cooling costs in many-cores, while its violations could induce performance-crippling execution uncertainties. Less task parallelization, on the other hand, results in lower peak power, but a more prolonged deadline violating execution. The problem of peak power minimization in many-cores is to determine task-to-core mapping configuration in the spatio-temporal domain that minimizes the peak power consumption of an application, but ensures application still meets the deadline. All previous works on peak power minimization for many-core applications (with or without DAG) assume only single-threaded tasks. We are the first to propose a framework, called PkMin, which minimizes the peak power of many-core applications with DAG that have multi-threaded tasks. PkMin leverages the inherent convexity in the execution characteristics of multi-threaded tasks to find a configuration that satisfies the deadline, as well as minimizes peak power. Evaluation on hundreds of applications shows PkMin on average results in 49.2% lower peak power than a similar state-of-the-art framework. © 2020 by the authors.
dc.publisherMDPI AG
dc.rightsAttribution 4.0 International
dc.rights.urihttp://creativecommons.org/licenses/by/4.0/
dc.sourceScopus OA2020
dc.subjectDirected acyclic task graphs
dc.subjectMany-core
dc.subjectPeak-power management
dc.typeArticle
dc.contributor.departmentDEPARTMENT OF COMPUTER SCIENCE
dc.description.doi10.3390/jlpea10040031
dc.description.sourcetitleJournal of Low Power Electronics and Applications
dc.description.volume10
dc.description.issue4
dc.description.page1-15
Appears in Collections:Staff Publications
Elements

Show simple item record
Files in This Item:
File Description SizeFormatAccess SettingsVersion 
10_3390_jlpea10040031.pdf674.43 kBAdobe PDF

OPEN

NoneView/Download

Google ScholarTM

Check

Altmetric


This item is licensed under a Creative Commons License Creative Commons