Full Name
Longyang Lin
(not current staff)
 
 
Email
elelilo@nus.edu.sg
 

Refined By:
File Format:  Adobe PDF
Policy:  Open
Department:  ELECTRICAL AND COMPUTER ENGINEERING

Results 1-14 of 14 (Search time: 0.358 seconds).

Issue DateTitleAuthor(s)
114-Jun-2020A 0.25-V, 5.3-pW Voltage Reference with 25-μV/°C Temperature Coefficient, 140-μV/V Line Sensitivity and 2,200-μm2 Area in 180nmFassio, Luigi; LIN LONGYANG ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; ALIOTO,MASSIMO BRUNO 
22021A 0.6-to-1.8V CMOS Current Reference with Near-100% Power UtilizationFassio, Luigi ; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo 
314-Feb-2023A 3.2-pW, 0.2-V Trimming-Less Voltage Reference with 1.4-mV Across-Wafer Total AccuracyLUIGI FASSIO ; LIN LONGYANG ; Raffaele De Rose; Marco Lanuzza; Felice Crupi; Massimo Bruno Alioto 
42021A Robust Sub-Threshold, Low Power-Delay, Energy and Area Efficient Level ShifterFassio, Luigii ; Settino, Francesco; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo Bruno 
515-Nov-2019Automated Design of Reconfigurable Microarchitectures for Accelerators under Wide Voltage ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
613-Jun-2021Battery-Less IoT Sensor Node with PLL-Less WiFi Backscattering Communications in a 2.5-µW Peak Power EnvelopeLin, Longyang ; Ahmed, Karim Ali ; Salamani, Praveen; Alioto, Massimo Bruno 
728-Jul-2020Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning Workloads Based on Commercial BitcellSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
81-Nov-2019Drop-In Energy-Performance Range Extension in Microcontrollers Beyond VDD ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
914-Jun-2020Multi-Sensor Platform with Five-Order-of-Magnitude System Power Adaptation down to 3.1nW and Sustained Operation under Moonlight HarvestingLIN LONGYANG ; SAURABH JAIN ; ALIOTO,MASSIMO BRUNO 
1022-Jul-2022On-Chip Laser Voltage Probing Attack Detection with 100% Area Coverage at Above/Below the Bandgap Wavelength and Fully-Automated DesignHui Zhang ; Longyang Lin ; Qiang Fang; Massimo Alioto 
111-Sep-2022Picowatt-Power Analog Gain Stages in Super-Cutoff Region With Purely-Harvested DemonstrationJoydeep Basu ; Karim Ali Abdeltawwab Ahmed ; Longyang Lin ; Massimo Bruno Alioto 
1217-Mar-2022Side-Channel Attack Counteraction via Machine Learning-Targeted Power Compensation for Post-Silicon HW Security PatchingQiang Fang; LIN LONGYANG ; YAO ZU WONG ; Hui Zhang; Alioto,Massimo Bruno 
13Jun-2021Trimming-Less Voltage Reference for Highly Uncertain Harvesting Down to 0.25 V, 5.4 pWFassio, L ; Lin, L ; De Rose, R; Lanuzza, M; Crupi, F; Alioto, M 
145-Jan-2023Voltage Reference With Corner-Aware Replica Selection/Merging for 1.4-mV Accuracy in Harvested Systems Down to 3.9 pW, 0.2 VLUIGI FASSIO ; LIN LONGYANG ; Raffaele De Rose; Marco Lanuzza; Felice Crupi; Massimo Bruno Alioto