Please use this identifier to cite or link to this item: https://doi.org/10.1145/2463209.2488949
Title: Hierarchical power management for asymmetric multi-core in dark silicon era
Authors: Muthukaruppan, T.S.
Pricopi, M.
Venkataramani, V.
Mitra, T. 
Vishin, S.
Keywords: Asymmetric multi-core
Feedback controller
Power management
Issue Date: 2013
Citation: Muthukaruppan, T.S., Pricopi, M., Venkataramani, V., Mitra, T., Vishin, S. (2013). Hierarchical power management for asymmetric multi-core in dark silicon era. Proceedings - Design Automation Conference : -. ScholarBank@NUS Repository. https://doi.org/10.1145/2463209.2488949
Abstract: Asymmetric multi-core architectures integrating cores with diverse power-performance characteristics is emerging as a promising alternative in the dark silicon era where only a fraction of the cores on chip can be powered on due to thermal limits. We introduce a hierarchical power management framework for asymmetric multi-cores that builds on control theory and coordinates multiple controllers in a synergistic manner to achieve optimal power-performance efficiency while respecting the thermal design power budget. We integrate our framework within Linux and implement/evaluate it on real ARM big.LITTLE asymmetric multi-core platform. Copyright © 2013 ACM.
Source Title: Proceedings - Design Automation Conference
URI: http://scholarbank.nus.edu.sg/handle/10635/78172
ISBN: 9781450320719
ISSN: 0738100X
DOI: 10.1145/2463209.2488949
Appears in Collections:Staff Publications

Show full item record
Files in This Item:
There are no files associated with this item.

Google ScholarTM

Check

Altmetric


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.