Publications

Refined By:
Policy:  Open

Results 1-11 of 11 (Search time: 0.008 seconds).

Issue DateTitleAuthor(s)
19-Jan-2022ASCENT: Communication Scheduling for SDF on Bufferless Software-defined NoCVANCHINATHAN VENKATARAMANI ; BODIN, BRUNO LOIC ALEXANDRE ; ADITI KULKARNI MOHITE ; TULIKA MITRA ; PEH LI SHIUAN 
22-Jun-2023Chameleon: Dual Memory Replay for Online Continual Learning on Edge DevicesShivam Aggarwal; Kuluhan Binici; Tulika Mitra 
39-Feb-2021ChordMap: Automated Mapping of Streaming Applications onto CGRAZhaoying Li; D.M. DHANANJAYA WIJERATHNE ; CHEN XIANZHANG ; ANUJ PATHANIA ; TULIKA MITRA 
410-Oct-2022HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical AbstractionDhananjaya Wijerathne ; Zhaoying Li; Anuj Pathania; Tulika Mitra ; Lothar Thiele
53-Dec-2021HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical AbstractionDhananjaya Wijerathne ; Zhaoying Li; Anuj Pathania; Tulika Mitra ; Lothar Thiele
61-Feb-2020KLEESPECTRE: Detecting Information Leakage through Speculative Cache Attacks via Symbolic Execution.Wang, Guanhua ; Chattopadhyay, Sudipta ; Biswas, Arnab Kumar ; Mitra, Tulika ; Roychoudhury, Abhik 
72022LISA: Graph Neural Network based Portable Mapping on Spatial AcceleratorsZhaoying Li; Dan Wu; D.M. DHANANJAYA WIJERATHNE ; TULIKA MITRA 
82018oo7: Low-overhead Defense against Spectre Attacks via Binary Analysis.Wang, Guanhua ; Chattopadhyay, Sudipta ; Gotovchits, Ivan; Mitra, Tulika ; Roychoudhury, Abhik 
92020Pkmin: Peak power minimization for multi-threaded many-core applicationsMaity, A.; Pathania, A.; Mitra, T. 
104-Jan-2022Preventing Catastrophic Forgetting and Distribution Mismatch in Knowledge Distillation via Synthetic DataKuluhan Binici; Pham Nam Trung; Tulike Mitra ; Karianto Leman
1128-Mar-2022REVAMP: A Systematic Framework for Heterogeneous CGRA RealizationDassanayake Mudiyanselage Thilini Kaushalya Bandara; D.M. DHANANJAYA WIJERATHNE ; Tulika Mitra ; PEH LI SHIUAN