Full Name
Ha Yajun
(not current staff)
Variants
Yajun, H.A.
Yajun, H.
Ha, Y.
Jun, H.Y.
 
 
 
Email
elehy@nus.edu.sg
 

Publications

Results 1-12 of 12 (Search time: 0.005 seconds).

Issue DateTitleAuthor(s)
1Apr-2001A new CMOS buffer amplifier design used in low voltage MEMS interface circuitsHa, Y. ; Li, M.F. ; Liu, A.Q.
2Apr-2005An embedded system to support tele-medical activityHui, N.J.; Lih, T.C.; Jun, H.Y. 
3Mar-2010An ultra-low-energy multi-standard JPEG Co-processor in 65 nm CMOS with sub/near threshold upply voltagePu, Y.; De Gyvez, J.P.; Corporaal, H.; Ha, Y. 
4Mar-2008Analyzing composability of applications on MPSoC platformsKumar, A. ; Mesman, B.; Theelen, B.; Corporaal, H.; Ha, Y. 
5Apr-2005Design of seamless Protocol Switching Layer for Voice over Internet Protocol (VoIP) that switches between Bluetooth and IEEE 802.11Han, T.Y.; Thampi, A.K.; Sebastian, D.J.; Yajun, H.A. 
62013FPGA-based 40.9-gbits/s masked AES with area optimization for storage area networkWang, Y.; Ha, Y. 
72013Improved chaff point generation for vault scheme in bio-cryptosystemsNguyen, T.H.; Wang, Y.; Ha, Y. ; Li, R.
8Sep-2008Interference-minimized multipath routing with congestion control in wireless sensor network for high-rate streamingTeo, J.-Y.; Ha, Y. ; Tham, C.-K. 
9Apr-2010Iterative probabilistic performance prediction for multi-application multiprocessor systemsKumar, A. ; Mesman, B.; Corporaal, H.; Ha, Y. 
1018-Aug-2008Pseudo-random single photon counting for time-resolved optical measurementZhang, Q.; Soon, H.W.; Tian, H.; Fernando, S. ; Ha, Y. ; Chen, N.G. 
112013Quality-driven dynamic scheduling for real-time adaptive applications on multiprocessor systemsYu, H.; Ha, Y. ; Veeravalli, B. 
122010Ultra storage-efficient time digitizer for pseudorandom single photon counter implemented on a field-programmable gate arrayTian, H.; Fernando, S. ; Soon, H.W.; Qiang, Z.; Zhang, C.; Ha, Y. ; Chen, N.