Full Name
Ha Yajun
(not current staff)
Variants
Yajun, H.A.
Yajun, H.
Ha, Y.
Jun, H.Y.
 
 
 
Email
elehy@nus.edu.sg
 

Publications

Results 21-40 of 59 (Search time: 0.008 seconds).

Issue DateTitleAuthor(s)
212010Communication-aware application mapping and scheduling for NoC-based MPSoCsYu, H.; Ha, Y. ; Veeravalli, B. 
222013Criticality-based routing for FPGAS with reverse body bias switch box architecturesLoke, W.T.; Zhao, W.; Ha, Y. 
232008Design of a high speed pseudo-random bit sequence based time resolved single photon counter on FPGATian, H.; Fernando, S. ; Soon, H.W.; Ha, Y. ; Chen, N. 
242005Design of networked reconfigurable encryption engineFernando, S. ; Yajun, H. 
25Apr-2005Design of seamless Protocol Switching Layer for Voice over Internet Protocol (VoIP) that switches between Bluetooth and IEEE 802.11Han, T.Y.; Thampi, A.K.; Sebastian, D.J.; Yajun, H.A. 
262005Design space exploration for arbitrary FPGA architecturesLee, C.S.; Ha, Y. 
272008Dynamic scheduling of imprecise-computation tasks in maximizing QoS under energy constraints for embedded systemsYu, H.; Veeravalli, B. ; Ha, Y. 
282013Dynamic scheduling of imprecise-computation tasks on real-time embedded multiprocessorsYu, H.; Veeravalli, B.; Ha, Y. ; Luo, S.
292011Error flatten logarithm approximation for graphics processing unitZhu, M.; Xiao, J.; Wanggen, W.; Yajun, H.A. 
302007Fast and accurate interval-based timing estimator for variability-aware FPGA physical synthesis toolsLee, C.S.; Loke, W.T.; Zhang, W.; Ha, Y. 
312013FPGA based Rekeying for cryptographic key management in Storage Area NetworkWang, Y.; Ha, Y. 
322013FPGA-based 40.9-gbits/s masked AES with area optimization for storage area networkWang, Y.; Ha, Y. 
332006Global analysis of resource arbitration for MPSoCKumar, A.; Mesman, B.; Corporaal, H.; Van Meerbergen, J.; Ha, Y. 
342013High speed video processing using fine-grained processing on FPGA platformAng, Z.P.; Kumar, A. ; Ha, Y. 
352013Improved chaff point generation for vault scheme in bio-cryptosystemsNguyen, T.H.; Wang, Y.; Ha, Y. ; Li, R.
36Sep-2008Interference-minimized multipath routing with congestion control in wireless sensor network for high-rate streamingTeo, J.-Y.; Ha, Y. ; Tham, C.-K. 
372007Interference-minimized multipath routing with congestion control in wireless sensor network for multimedia streamingJenn, Y.T.; Yajun, H. ; Chen, K.T. 
38Apr-2010Iterative probabilistic performance prediction for multi-application multiprocessor systemsKumar, A. ; Mesman, B.; Corporaal, H.; Ha, Y. 
392010Leakage-aware dynamic scheduling for real-time adaptive applications on multiprocessor systemsYu, H.; Bharadwaj, V. ; Ha, Y. 
402010Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGASingh, A.K.; Kumar, A. ; Srikanthan, T.; Ha, Y.