Full Name
Massimo Bruno Alioto
Variants
Alioto, Massimo Bruno
 
 
 
Email
eleamb@nus.edu.sg
 

Refined By:
File Format:  Adobe PDF

Results 1-20 of 56 (Search time: 0.013 seconds).

Issue DateTitleAuthor(s)
12021300mV-Supply, sub-nW-Power Digital-Based Operational Transconductance AmplifierToledo, Pedro; Crovetti, Paolo; Klimach, Hamilton; Bampi, Sergio; Aiello, Orazio ; Alioto, Massimo 
216-Jul-202338.4-pW, 0.14-mm2 Body-Driven Temperature-to-Digital Converter and Voltage Reference with 0.6-1.6-V Unregulated Supply for Battery-Less SystemsLuigi Fassio ; Orazio Aiello ; Massimo Alioto 
321-Feb-202355-pW/pixel Peak Power Imager with Near-Sensor Novelty/Edge Detection and DC-DC Converter-Less MPPT for Purely-Harvested Sensor NodesKarim Ali Abdeltawwab Ahmed ; HAYATE OKUHARA ; Massimo Bruno Alioto 
414-Jun-2020A 0.25-V, 5.3-pW Voltage Reference with 25-μV/°C Temperature Coefficient, 140-μV/V Line Sensitivity and 2,200-μm2 Area in 180nmFassio, Luigi; LIN LONGYANG ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; ALIOTO,MASSIMO BRUNO 
52021A 0.6-to-1.8V CMOS Current Reference with Near-100% Power UtilizationFassio, Luigi ; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo 
64-Jun-2021A 109TOPS/mm2 and 749-1,459TOPS/W SRAM Buffer with In-Memory Inference and Prediction-Less Bitline Activity Reduction in 28nmKonandur Rajanna, Viveka ; Taneja, Sachin ; Alioto, Massimo 
714-Feb-2023A 3.2-pW, 0.2-V Trimming-Less Voltage Reference with 1.4-mV Across-Wafer Total AccuracyLUIGI FASSIO ; LIN LONGYANG ; Raffaele De Rose; Marco Lanuzza; Felice Crupi; Massimo Bruno Alioto 
82021A Robust Sub-Threshold, Low Power-Delay, Energy and Area Efficient Level ShifterFassio, Luigii ; Settino, Francesco; Lin, Longyang ; De Rose, Raffaele; Lanuzza, Marco; Crupi, Felice; Alioto, Massimo Bruno 
922-Oct-2020A Robust, High-Speed and Energy-Efficient Ultralow-Voltage Level ShifterLUIGI FASSIO ; Francesco Settino; LIN LONGYANG ; Raffaele De Rose; Marco Lanuzza; Felice Crupi; ALIOTO,MASSIMO BRUNO 
102020Approximate Multipliers with Dynamic Truncation for Energy Reduction via Graceful Quality DegradationFrustaci, Fabio; Perri, Stefania; Corsonello, Pasquale; Alioto, Massimo 
1112-Dec-2022Architecture for 3D Convolutional Neural Networks Based on Temporal Similarity RemovalWATHUTHANTHRIGE UDARI CHARITHA DE ALWIS ; Massimo Bruno Alioto 
1215-Nov-2019Automated Design of Reconfigurable Microarchitectures for Accelerators under Wide Voltage ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1313-Jun-2021Battery-Less IoT Sensor Node with PLL-Less WiFi Backscattering Communications in a 2.5-µW Peak Power EnvelopeLin, Longyang ; Ahmed, Karim Ali ; Salamani, Praveen; Alioto, Massimo Bruno 
141-Sep-2020Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning WorkloadsSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1528-Jul-2020Broad-Purpose In-Memory Computing for Signal Monitoring and Machine Learning Workloads Based on Commercial BitcellSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO 
1623-Jun-2021±CIM SRAM for Signed In-Memory Broad-Purpose Computing from DSP to Neural ProcessingJain, Saurabh ; Lin, Longyang ; Alioto, Massimo Bruno 
1724-Apr-2022DDPMnet: All-Digital Pulse Density-Based DNN Architecture with 228 Gate Equivalents/MAC Unit, 28-TOPS/W and 1.5-TOPS/mm2 in 40nmAnimesh Gupta; Viveka Konandur; Thoithoi Salam ; Saurabh Jain; Orazio Aiello; Paolo Crovettii; Massimo Alioto 
1829-Jan-2020Deep Sub-pJ/bit Low-Area Energy-Security Scalable SIMON Crypto-CoreSACHIN TANEJA ; ALIOTO,MASSIMO BRUNO 
191-Oct-2020Deep Sub-pJ/bit Low-Area Energy-Security Scalable SIMON Crypto-Core in 40 nmALIOTO,MASSIMO BRUNO ; SACHIN TANEJA 
201-Nov-2019Drop-In Energy-Performance Range Extension in Microcontrollers Beyond VDD ScalingSAURABH JAIN ; LIN LONGYANG ; ALIOTO,MASSIMO BRUNO